notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

Port details
yosys-ghdl-plugin GHDL synthesis plugin for yosys
g20230930_1 cad on this many watch lists=0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout g20230930_1Version of this port present on the latest quarterly branch.
Maintainer: nsonack@outlook.com search for ports maintained by this maintainer
Port Added: 2023-10-19 07:13:57
Last Update: 2024-03-19 14:18:22
Commit Hash: bccfced
License: GPLv3
WWW:
https://github.com/ghdl/ghdl-yosys-plugin
Description:
yosys-ghdl-plugin is a plugin that enables synthesis of VHDL with yosys and ghdl.
Homepage    cgit ¦ Codeberg ¦ GitHub ¦ GitLab ¦ SVNWeb - no subversion history for this port

Manual pages:
FreshPorts has no man page information for this port.
pkg-plist: as obtained via: make generate-plist
Expand this list (4 items)
Collapse this list.
  1. share/yosys/plugins/ghdl.so
  2. /usr/local/share/licenses/yosys-ghdl-plugin-g20230930_1/catalog.mk
  3. /usr/local/share/licenses/yosys-ghdl-plugin-g20230930_1/LICENSE
  4. /usr/local/share/licenses/yosys-ghdl-plugin-g20230930_1/GPLv3
Collapse this list.
Dependency lines:
  • yosys-ghdl-plugin>0:cad/yosys-ghdl-plugin
To install the port:
cd /usr/ports/cad/yosys-ghdl-plugin/ && make install clean
To add the package, run one of these commands:
  • pkg install cad/yosys-ghdl-plugin
  • pkg install yosys-ghdl-plugin
NOTE: If this package has multiple flavors (see below), then use one of them instead of the name specified above.
PKGNAME: yosys-ghdl-plugin
Flavors: there is no flavor information for this port.
distinfo:
TIMESTAMP = 1696080191 SHA256 (ghdl-ghdl-yosys-plugin-g20230930-d44a7bccdaa458ab3ec0ce83459410604bee6c60_GH0.tar.gz) = 200f38ea69d868b111a905d95a59aefa0c24d42f4f092ed5e5d94db21c496643 SIZE (ghdl-ghdl-yosys-plugin-g20230930-d44a7bccdaa458ab3ec0ce83459410604bee6c60_GH0.tar.gz) = 82663

Packages (timestamps in pop-ups are UTC):
yosys-ghdl-plugin
ABIaarch64amd64armv6armv7i386powerpcpowerpc64powerpc64le
FreeBSD:13:latest-g20230930_1--g20230930_1---
FreeBSD:13:quarterly-g20230930_1--g20230930_1---
FreeBSD:14:latest-g20230930_1--g20230930_1---
FreeBSD:14:quarterly-g20230930_1--g20230930_1---
FreeBSD:15:latest-g20230930_1n/a-n/a---
Dependencies
NOTE: FreshPorts displays only information on required and default dependencies. Optional dependencies are not covered.
Build dependencies:
  1. ghdl>0 : cad/ghdl
  2. yosys>0 : cad/yosys
  3. gmake>=4.4.1 : devel/gmake
Runtime dependencies:
  1. yosys>0 : cad/yosys
Library dependencies:
  1. libffi.so : devel/libffi
  2. libghdl-4_0_0.so : cad/ghdl
  3. libtcmalloc.so : devel/google-perftools
  4. libreadline.so.8 : devel/readline
  5. libtcl86.so : lang/tcl86
There are no ports dependent upon this port

Configuration Options:
No options to configure
Options name:
cad_yosys-ghdl-plugin
USES:
gmake readline tcl
FreshPorts was unable to extract/find any pkg message
Master Sites:
Expand this list (1 items)
Collapse this list.
  1. https://codeload.github.com/ghdl/ghdl-yosys-plugin/tar.gz/d44a7bccdaa458ab3ec0ce83459410604bee6c60?dummy=/
Collapse this list.

Number of commits found: 2

Commit History - (may be incomplete: for full details, see links to repositories near top of page)
CommitCreditsLog message
g20230930_1
19 Mar 2024 14:18:22
commit hash: bccfced58080365bde868f688bb942ff763da774commit hash: bccfced58080365bde868f688bb942ff763da774commit hash: bccfced58080365bde868f688bb942ff763da774commit hash: bccfced58080365bde868f688bb942ff763da774 files touched by this commit
Robert Clausecker (fuz) search for other commits by this committer
Author: Nico Sonack
cad/yosys-ghdl-plugin: Fix breakage due to ghdl version bump

add806fdd4acf01d5306c277ccb6076baaf281ea broke our build due to the
shared library of libghdl being named differently.

Update the LIB_DEPENDS and bump the PORTREVISION to accomodate for
version changes.

Signed-off-by:	Nico Sonack <nsonack@herrhotzenplotz.de>
PR:		277634
Fixes:		add806fdd4acf01d5306c277ccb6076baaf281ea
g20230930
19 Oct 2023 07:07:29
commit hash: 7e4f2be406274cd8a33b558308812fe07f1cbfeacommit hash: 7e4f2be406274cd8a33b558308812fe07f1cbfeacommit hash: 7e4f2be406274cd8a33b558308812fe07f1cbfeacommit hash: 7e4f2be406274cd8a33b558308812fe07f1cbfea files touched by this commit
Robert Clausecker (fuz) search for other commits by this committer
Author: Nico Sonack
cad/yosys-ghdl-plugin: Add new port

This allows performing synthesis of VHDL using ghdl with yosys.

Signed-off-by:	Nico Sonack <nsonack@herrhotzenplotz.de>
PR:		274243

Number of commits found: 2