notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

Port details
wlclock Digital analog clock for Wayland desktops
1.0.1_1 x11-clocks on this many watch lists=0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 1.0.1Version of this port present on the latest quarterly branch.
Maintainer: jbeich@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-11-19 05:17:05
Last Update: 2024-01-12 22:40:48
Commit Hash: 9f8f710
Also Listed In: wayland
License: GPLv3
WWW:
https://git.sr.ht/~leon_plickat/wlclock
Description:
wlclock is inspired by xclock and the default configuration has been chosen to mimic it. However unlike xclock, wlclock is not a regular window but a desktop-widget. A Wayland compositor must implement the Layer-Shell and XDG-Output for wlclock to work.
Homepage    cgit ¦ Codeberg ¦ GitHub ¦ GitLab ¦ SVNWeb

Manual pages:
FreshPorts has no man page information for this port.
pkg-plist: as obtained via: make generate-plist
Expand this list (5 items)
Collapse this list.
  1. bin/wlclock
  2. share/man/man1/wlclock.1.gz
  3. /usr/local/share/licenses/wlclock-1.0.1_1/catalog.mk
  4. /usr/local/share/licenses/wlclock-1.0.1_1/LICENSE
  5. /usr/local/share/licenses/wlclock-1.0.1_1/GPLv3
Collapse this list.
Dependency lines:
  • wlclock>0:x11-clocks/wlclock
To install the port:
cd /usr/ports/x11-clocks/wlclock/ && make install clean
To add the package, run one of these commands:
  • pkg install x11-clocks/wlclock
  • pkg install wlclock
NOTE: If this package has multiple flavors (see below), then use one of them instead of the name specified above.
PKGNAME: wlclock
Flavors: there is no flavor information for this port.
distinfo:
TIMESTAMP = 1640947058 SHA256 (wlclock-v1.0.1.tar.gz) = df624174a07dc381773039c230252e3364abee81831069ce66a12970311accfa SIZE (wlclock-v1.0.1.tar.gz) = 34225

Packages (timestamps in pop-ups are UTC):
wlclock
ABIaarch64amd64armv6armv7i386powerpcpowerpc64powerpc64le
FreeBSD:13:latest1.0.1_11.0.1_11.0.01.0.1_11.0.1_1---
FreeBSD:13:quarterly1.0.11.0.1-1.0.11.0.11.0.11.0.11.0.1
FreeBSD:14:latest1.0.1_11.0.1_11.0.11.0.1_11.0.1_11.0.1-1.0.1
FreeBSD:14:quarterly1.0.11.0.1-1.0.11.0.11.0.11.0.11.0.1
FreeBSD:15:latest1.0.1_11.0.1_1n/a1.0.1_1n/a1.0.1_11.0.1_11.0.1_1
FreeBSD:15:quarterly--n/a-n/a---
Dependencies
NOTE: FreshPorts displays only information on required and default dependencies. Optional dependencies are not covered.
Build dependencies:
  1. wayland-protocols>0 : graphics/wayland-protocols
  2. scdoc : textproc/scdoc
  3. meson : devel/meson
  4. ninja : devel/ninja
  5. pkgconf>=1.3.0_1 : devel/pkgconf
Library dependencies:
  1. libwayland-client.so : graphics/wayland
  2. libcairo.so : graphics/cairo
There are no ports dependent upon this port

Configuration Options:
===> The following configuration options are available for wlclock-1.0.1_1: MANPAGES=on: Build and/or install manual pages ===> Use 'make config' to modify these settings
Options name:
x11-clocks_wlclock
USES:
compiler:c11 gnome meson pkgconfig
FreshPorts was unable to extract/find any pkg message
Master Sites:
Expand this list (1 items)
Collapse this list.
  1. https://git.sr.ht/~leon_plickat/wlclock/archive/v1.0.1.tar.gz?dummy=/
Collapse this list.

Number of commits found: 16

Commit History - (may be incomplete: for full details, see links to repositories near top of page)
CommitCreditsLog message
1.0.1_1
12 Jan 2024 22:40:48
commit hash: 9f8f710b3ec3945ede5cf5d996c3391081d32df1commit hash: 9f8f710b3ec3945ede5cf5d996c3391081d32df1commit hash: 9f8f710b3ec3945ede5cf5d996c3391081d32df1commit hash: 9f8f710b3ec3945ede5cf5d996c3391081d32df1 files touched by this commit
Muhammad Moinur Rahman (bofh) search for other commits by this committer
*/*: Sanitize MANPREFIX for meson ports

Approved by:	portmgr
1.0.1
09 Aug 2023 14:07:32
commit hash: cc310e28bce7e46e60d05dcd48bb7664d7c0bd41commit hash: cc310e28bce7e46e60d05dcd48bb7664d7c0bd41commit hash: cc310e28bce7e46e60d05dcd48bb7664d7c0bd41commit hash: cc310e28bce7e46e60d05dcd48bb7664d7c0bd41 files touched by this commit
Jan Beich (jbeich) search for other commits by this committer
x11-clocks/wlclock: unbreak fetch due to recompression

=> Fetched file size mismatch (expected 34332, actual 34225)

 $ gzip -l wlclock-v1.0.1.tar.gz
   compressed uncompressed  ratio uncompressed_name
-       34332       143360  76.0% wlclock-v1.0.1.tar
+       34225       143360  76.1% wlclock-v1.0.1.tar
1.0.1
30 Mar 2023 00:24:12
commit hash: 73d474e3d2b5adbc7a6c57d39ce8a3bb61582f0bcommit hash: 73d474e3d2b5adbc7a6c57d39ce8a3bb61582f0bcommit hash: 73d474e3d2b5adbc7a6c57d39ce8a3bb61582f0bcommit hash: 73d474e3d2b5adbc7a6c57d39ce8a3bb61582f0b files touched by this commit
Jan Beich (jbeich) search for other commits by this committer
x11-clocks/wlclock: unbreak fetch due to Git upgrade

=> Fetched file size mismatch (expected 34225, actual 34332)

See also:	https://github.com/git/git/commit/4f4be00d302b
1.0.1
12 Feb 2023 00:50:59
commit hash: a081e7b88a54e4b5c2d9e95034cce33b5d9252ebcommit hash: a081e7b88a54e4b5c2d9e95034cce33b5d9252ebcommit hash: a081e7b88a54e4b5c2d9e95034cce33b5d9252ebcommit hash: a081e7b88a54e4b5c2d9e95034cce33b5d9252eb files touched by this commit
Jan Beich (jbeich) search for other commits by this committer
x11-clocks/wlclock: unbreak build with clang 15

../src/output.c:22:18: error: a function declaration without a prototype is
deprecated in all versions of C [-Werror,-Wstrict-prototypes]
static void noop () {}
                 ^
                  void
../src/wlclock.c:578:23: error: a function declaration without a prototype is
deprecated in all versions of C [-Werror,-Wstrict-prototypes]
static void clock_run ()
                      ^
                       void

Reported by:	pkg-fallout
07 Sep 2022 21:58:51
commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4commit hash: fb16dfecae4a6efac9f3a78e0b759fb7a3c53de4 files touched by this commit
Stefan Eßer (se) search for other commits by this committer
Remove WWW entries moved into port Makefiles

Commit b7f05445c00f has added WWW entries to port Makefiles based on
WWW: lines in pkg-descr files.

This commit removes the WWW: lines of moved-over URLs from these
pkg-descr files.

Approved by:		portmgr (tcberner)
1.0.1
07 Sep 2022 21:10:59
commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52commit hash: b7f05445c00f2625aa19b4154ebcbce5ed2daa52 files touched by this commit
Stefan Eßer (se) search for other commits by this committer
Add WWW entries to port Makefiles

It has been common practice to have one or more URLs at the end of the
ports' pkg-descr files, one per line and prefixed with "WWW:". These
URLs should point at a project website or other relevant resources.

Access to these URLs required processing of the pkg-descr files, and
they have often become stale over time. If more than one such URL was
present in a pkg-descr file, only the first one was tarnsfered into
the port INDEX, but for many ports only the last line did contain the
port specific URL to further information.

There have been several proposals to make a project URL available as
a macro in the ports' Makefiles, over time.
(Only the first 15 lines of the commit message are shown above View all of this commit message)
1.0.1
20 Jul 2022 12:48:14
commit hash: 29486876225718bafb70b78f4bb811674b06f164commit hash: 29486876225718bafb70b78f4bb811674b06f164commit hash: 29486876225718bafb70b78f4bb811674b06f164commit hash: 29486876225718bafb70b78f4bb811674b06f164 files touched by this commit
Jan Beich (jbeich) search for other commits by this committer
wayland: add more mostly leaf ports to virtual category

Caveats:
- emulators/rpcs3: install qt5-wayland and ensure Renderer is Vulkan (default)
- mail/thunderbird: add MOZ_ENABLE_WAYLAND=1 via environ(7)
- www/chromium: add --ozone-platform=wayland on command line
- www/firefox-esr: add MOZ_ENABLE_WAYLAND=1 via environ(7)
- www/firefox: add MOZ_ENABLE_WAYLAND=1 via environ(7)
1.0.1
31 Dec 2021 10:39:54
commit hash: 36838f55657bcb53157eb88b9dcb5aaa97797b36commit hash: 36838f55657bcb53157eb88b9dcb5aaa97797b36commit hash: 36838f55657bcb53157eb88b9dcb5aaa97797b36commit hash: 36838f55657bcb53157eb88b9dcb5aaa97797b36 files touched by this commit
Jan Beich (jbeich) search for other commits by this committer
x11-clocks/wlclock: update to 1.0.1

Changes:	https://git.sr.ht/~leon_plickat/wlclock/refs/v1.0.1
Reported by:	Repology
1.0.0
05 Aug 2021 20:47:13
commit hash: a40048b28e2154fbe401056ebd84464a85eb17b5commit hash: a40048b28e2154fbe401056ebd84464a85eb17b5commit hash: a40048b28e2154fbe401056ebd84464a85eb17b5commit hash: a40048b28e2154fbe401056ebd84464a85eb17b5 files touched by this commit
Jan Beich (jbeich) search for other commits by this committer
*: unbreak fetch from git.sr.ht due to footer change

-2.32.0
+2.30.2

Reported by:	Alain De Vos (via FreeBSD Forums)
1.0.0
07 Apr 2021 08:09:01
commit hash: cf118ccf875508b9a1c570044c93cfcc82bd455ccommit hash: cf118ccf875508b9a1c570044c93cfcc82bd455ccommit hash: cf118ccf875508b9a1c570044c93cfcc82bd455ccommit hash: cf118ccf875508b9a1c570044c93cfcc82bd455c files touched by this commit
Mathieu Arnold (mat) search for other commits by this committer
One more small cleanup, forgotten yesterday.
Reported by:	lwhsu
1.0.0
06 Apr 2021 14:31:07
commit hash: 305f148f482daf30dcf728039d03d019f88344ebcommit hash: 305f148f482daf30dcf728039d03d019f88344ebcommit hash: 305f148f482daf30dcf728039d03d019f88344ebcommit hash: 305f148f482daf30dcf728039d03d019f88344eb files touched by this commit
Mathieu Arnold (mat) search for other commits by this committer
Remove # $FreeBSD$ from Makefiles.
1.0.0
23 Mar 2021 00:47:51
Revision:569001Original commit files touched by this commit
jbeich search for other commits by this committer
Unbreak fetch from git.sr.ht

Git footer have changed e.g.,

 ---
-2.30.1
+2.30.2
1.0.0
19 Feb 2021 11:49:35
Revision:566050Original commit files touched by this commit
jbeich search for other commits by this committer
Unbreak fetch from git.sr.ht

Git footer have changed e.g.,

 ---
-2.30.0
+2.30.1
1.0.0
17 Feb 2021 23:39:05
Revision:565827Original commit files touched by this commit
jbeich search for other commits by this committer
Unbreak fetch from git.sr.ht

GZIP compression ratio and Git footer have changed e.g.,

 method  crc     date  time    compressed uncompressed  ratio uncompressed_name
-defla 944caee6 Dec  7 21:15        12482        71680  82.5% scdoc-1.11.1.tar
+defla 944caee6 Feb 17 23:20        12510        71680  82.5% scdoc-1.11.1.tar

 ---
-2.26.2
+2.30.0
1.0.0
25 Nov 2020 19:58:53
Revision:556305Original commit files touched by this commit
jbeich search for other commits by this committer
x11-clocks/wlclock: apply upstream fix for EPOLL=off
1.0.0
19 Nov 2020 05:16:27
Revision:555687Original commit files touched by this commit
jbeich search for other commits by this committer
x11-clocks/wlclock: add new port

wlclock is inspired by xclock and the default configuration has been
chosen to mimic it. However unlike xclock, wlclock is not a regular
window but a desktop-widget.

A Wayland compositor must implement the Layer-Shell and XDG-Output for
wlclock to work.

https://git.sr.ht/~leon_plickat/wlclock

Number of commits found: 16