notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

Port details on branch 2022Q2
yosys Yosys Open SYnthesis Suite
0.40 cad on this many watch lists=0 search for ports that depend on this port Find issues related to this port Report an issue related to this port View this port on Repology. pkg-fallout 0.39Version of this port present on the latest quarterly branch.
Maintainer: yuri@FreeBSD.org search for ports maintained by this maintainer
Port Added: 2020-01-04 18:47:36
Last Update: 2024-04-11 08:17:20
Commit Hash: 4118ab9
License: ISCL
WWW:
https://yosyshq.net/yosys/
Description:
Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains.
Homepage    cgit ¦ Codeberg ¦ GitHub ¦ GitLab ¦ SVNWeb

Manual pages:
FreshPorts has no man page information for this port.
pkg-plist: as obtained via: make generate-plist
Expand this list (289 items)
Collapse this list.
  1. /usr/local/share/licenses/yosys-0.40/catalog.mk
  2. /usr/local/share/licenses/yosys-0.40/LICENSE
  3. /usr/local/share/licenses/yosys-0.40/ISCL
  4. bin/yosys
  5. bin/yosys-config
  6. bin/yosys-filterlib
  7. bin/yosys-smtbmc
  8. bin/yosys-witness
  9. share/yosys/abc9_map.v
  10. share/yosys/abc9_model.v
  11. share/yosys/abc9_unmap.v
  12. share/yosys/achronix/speedster22i/cells_map.v
  13. share/yosys/achronix/speedster22i/cells_sim.v
  14. share/yosys/adff2dff.v
  15. share/yosys/anlogic/arith_map.v
  16. share/yosys/anlogic/brams.txt
  17. share/yosys/anlogic/brams_map.v
  18. share/yosys/anlogic/cells_map.v
  19. share/yosys/anlogic/cells_sim.v
  20. share/yosys/anlogic/eagle_bb.v
  21. share/yosys/anlogic/lutrams.txt
  22. share/yosys/anlogic/lutrams_map.v
  23. share/yosys/cells.lib
  24. share/yosys/choices/kogge-stone.v
  25. share/yosys/cmp2lcu.v
  26. share/yosys/cmp2lut.v
  27. share/yosys/cmp2softlogic.v
  28. share/yosys/coolrunner2/cells_counter_map.v
  29. share/yosys/coolrunner2/cells_latch.v
  30. share/yosys/coolrunner2/cells_sim.v
  31. share/yosys/coolrunner2/tff_extract.v
  32. share/yosys/coolrunner2/xc2_dff.lib
  33. share/yosys/dff2ff.v
  34. share/yosys/ecp5/arith_map.v
  35. share/yosys/ecp5/brams.txt
  36. share/yosys/ecp5/brams_map.v
  37. share/yosys/ecp5/cells_bb.v
  38. share/yosys/ecp5/cells_ff.vh
  39. share/yosys/ecp5/cells_io.vh
  40. share/yosys/ecp5/cells_map.v
  41. share/yosys/ecp5/cells_sim.v
  42. share/yosys/ecp5/dsp_map.v
  43. share/yosys/ecp5/latches_map.v
  44. share/yosys/ecp5/lutrams.txt
  45. share/yosys/ecp5/lutrams_map.v
  46. share/yosys/efinix/arith_map.v
  47. share/yosys/efinix/brams.txt
  48. share/yosys/efinix/brams_map.v
  49. share/yosys/efinix/cells_map.v
  50. share/yosys/efinix/cells_sim.v
  51. share/yosys/efinix/gbuf_map.v
  52. share/yosys/fabulous/arith_map.v
  53. share/yosys/fabulous/cells_map.v
  54. share/yosys/fabulous/ff_map.v
  55. share/yosys/fabulous/io_map.v
  56. share/yosys/fabulous/latches_map.v
  57. share/yosys/fabulous/prims.v
  58. share/yosys/fabulous/ram_regfile.txt
  59. share/yosys/fabulous/regfile_map.v
  60. share/yosys/gate2lut.v
  61. share/yosys/gatemate/arith_map.v
  62. share/yosys/gatemate/brams.txt
  63. share/yosys/gatemate/brams_init_20.vh
  64. share/yosys/gatemate/brams_init_40.vh
  65. share/yosys/gatemate/brams_map.v
  66. share/yosys/gatemate/cells_bb.v
  67. share/yosys/gatemate/cells_sim.v
  68. share/yosys/gatemate/inv_map.v
  69. share/yosys/gatemate/lut_map.v
  70. share/yosys/gatemate/lut_tree_cells.genlib
  71. share/yosys/gatemate/lut_tree_map.v
  72. share/yosys/gatemate/mul_map.v
  73. share/yosys/gatemate/mux_map.v
  74. share/yosys/gatemate/reg_map.v
  75. share/yosys/gowin/arith_map.v
  76. share/yosys/gowin/brams.txt
  77. share/yosys/gowin/brams_map.v
  78. share/yosys/gowin/cells_map.v
  79. share/yosys/gowin/cells_sim.v
  80. share/yosys/gowin/cells_xtra.v
  81. share/yosys/gowin/lutrams.txt
  82. share/yosys/gowin/lutrams_map.v
  83. share/yosys/greenpak4/cells_blackbox.v
  84. share/yosys/greenpak4/cells_latch.v
  85. share/yosys/greenpak4/cells_map.v
  86. share/yosys/greenpak4/cells_sim.v
  87. share/yosys/greenpak4/cells_sim_ams.v
  88. share/yosys/greenpak4/cells_sim_digital.v
  89. share/yosys/greenpak4/cells_sim_wip.v
  90. share/yosys/greenpak4/gp_dff.lib
  91. share/yosys/ice40/abc9_model.v
  92. share/yosys/ice40/arith_map.v
  93. share/yosys/ice40/brams.txt
  94. share/yosys/ice40/brams_map.v
  95. share/yosys/ice40/cells_map.v
  96. share/yosys/ice40/cells_sim.v
  97. share/yosys/ice40/dsp_map.v
  98. share/yosys/ice40/ff_map.v
  99. share/yosys/ice40/latches_map.v
  100. share/yosys/ice40/spram.txt
  101. share/yosys/ice40/spram_map.v
  102. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc
  103. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h
  104. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc
  105. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h
  106. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h
  107. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h
  108. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h
  109. share/yosys/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h
  110. share/yosys/include/backends/rtlil/rtlil_backend.h
  111. share/yosys/include/frontends/ast/ast.h
  112. share/yosys/include/frontends/ast/ast_binding.h
  113. share/yosys/include/frontends/blif/blifparse.h
  114. share/yosys/include/kernel/binding.h
  115. share/yosys/include/kernel/cellaigs.h
  116. share/yosys/include/kernel/celledges.h
  117. share/yosys/include/kernel/celltypes.h
  118. share/yosys/include/kernel/consteval.h
  119. share/yosys/include/kernel/constids.inc
  120. share/yosys/include/kernel/cost.h
  121. share/yosys/include/kernel/ff.h
  122. share/yosys/include/kernel/ffinit.h
  123. share/yosys/include/kernel/ffmerge.h
  124. share/yosys/include/kernel/fmt.h
  125. share/yosys/include/kernel/fstdata.h
  126. share/yosys/include/kernel/hashlib.h
  127. share/yosys/include/kernel/json.h
  128. share/yosys/include/kernel/log.h
  129. share/yosys/include/kernel/macc.h
  130. share/yosys/include/kernel/mem.h
  131. share/yosys/include/kernel/modtools.h
  132. share/yosys/include/kernel/qcsat.h
  133. share/yosys/include/kernel/register.h
  134. share/yosys/include/kernel/rtlil.h
  135. share/yosys/include/kernel/satgen.h
  136. share/yosys/include/kernel/scopeinfo.h
  137. share/yosys/include/kernel/sigtools.h
  138. share/yosys/include/kernel/timinginfo.h
  139. share/yosys/include/kernel/utils.h
  140. share/yosys/include/kernel/yosys.h
  141. share/yosys/include/kernel/yosys_common.h
  142. share/yosys/include/kernel/yw.h
  143. share/yosys/include/libs/ezsat/ezminisat.h
  144. share/yosys/include/libs/ezsat/ezsat.h
  145. share/yosys/include/libs/fst/fstapi.h
  146. share/yosys/include/libs/json11/json11.hpp
  147. share/yosys/include/libs/sha1/sha1.h
  148. share/yosys/include/passes/fsm/fsmdata.h
  149. share/yosys/intel/common/altpll_bb.v
  150. share/yosys/intel/common/brams_m9k.txt
  151. share/yosys/intel/common/brams_map_m9k.v
  152. share/yosys/intel/common/ff_map.v
  153. share/yosys/intel/common/m9k_bb.v
  154. share/yosys/intel/cyclone10lp/cells_map.v
  155. share/yosys/intel/cyclone10lp/cells_sim.v
  156. share/yosys/intel/cycloneiv/cells_map.v
  157. share/yosys/intel/cycloneiv/cells_sim.v
  158. share/yosys/intel/cycloneive/cells_map.v
  159. share/yosys/intel/cycloneive/cells_sim.v
  160. share/yosys/intel/max10/cells_map.v
  161. share/yosys/intel/max10/cells_sim.v
  162. share/yosys/intel_alm/common/abc9_map.v
  163. share/yosys/intel_alm/common/abc9_model.v
  164. share/yosys/intel_alm/common/abc9_unmap.v
  165. share/yosys/intel_alm/common/alm_map.v
  166. share/yosys/intel_alm/common/alm_sim.v
  167. share/yosys/intel_alm/common/arith_alm_map.v
  168. share/yosys/intel_alm/common/bram_m10k.txt
  169. share/yosys/intel_alm/common/bram_m10k_map.v
  170. share/yosys/intel_alm/common/bram_m20k.txt
  171. share/yosys/intel_alm/common/bram_m20k_map.v
  172. share/yosys/intel_alm/common/dff_map.v
  173. share/yosys/intel_alm/common/dff_sim.v
  174. share/yosys/intel_alm/common/dsp_map.v
  175. share/yosys/intel_alm/common/dsp_sim.v
  176. share/yosys/intel_alm/common/lutram_mlab.txt
  177. share/yosys/intel_alm/common/megafunction_bb.v
  178. share/yosys/intel_alm/common/mem_sim.v
  179. share/yosys/intel_alm/common/misc_sim.v
  180. share/yosys/intel_alm/common/quartus_rename.v
  181. share/yosys/intel_alm/cyclonev/cells_sim.v
  182. share/yosys/lattice/arith_map_ccu2c.v
  183. share/yosys/lattice/arith_map_ccu2d.v
  184. share/yosys/lattice/brams_16kd.txt
  185. share/yosys/lattice/brams_8kc.txt
  186. share/yosys/lattice/brams_map_16kd.v
  187. share/yosys/lattice/brams_map_8kc.v
  188. share/yosys/lattice/ccu2c_sim.vh
  189. share/yosys/lattice/ccu2d_sim.vh
  190. share/yosys/lattice/cells_bb_ecp5.v
  191. share/yosys/lattice/cells_bb_xo2.v
  192. share/yosys/lattice/cells_bb_xo3.v
  193. share/yosys/lattice/cells_bb_xo3d.v
  194. share/yosys/lattice/cells_ff.vh
  195. share/yosys/lattice/cells_io.vh
  196. share/yosys/lattice/cells_map.v
  197. share/yosys/lattice/cells_sim_ecp5.v
  198. share/yosys/lattice/cells_sim_xo2.v
  199. share/yosys/lattice/cells_sim_xo3.v
  200. share/yosys/lattice/cells_sim_xo3d.v
  201. share/yosys/lattice/common_sim.vh
  202. share/yosys/lattice/dsp_map_18x18.v
  203. share/yosys/lattice/latches_map.v
  204. share/yosys/lattice/lutrams.txt
  205. share/yosys/lattice/lutrams_map.v
  206. share/yosys/mul2dsp.v
  207. share/yosys/nexus/arith_map.v
  208. share/yosys/nexus/brams.txt
  209. share/yosys/nexus/brams_map.v
  210. share/yosys/nexus/cells_map.v
  211. share/yosys/nexus/cells_sim.v
  212. share/yosys/nexus/cells_xtra.v
  213. share/yosys/nexus/dsp_map.v
  214. share/yosys/nexus/latches_map.v
  215. share/yosys/nexus/lrams.txt
  216. share/yosys/nexus/lrams_map.v
  217. share/yosys/nexus/lutrams.txt
  218. share/yosys/nexus/lutrams_map.v
  219. share/yosys/nexus/parse_init.vh
  220. share/yosys/pmux2mux.v
  221. share/yosys/python3/smtio.py
  222. share/yosys/python3/ywio.py
  223. share/yosys/quicklogic/common/cells_sim.v
  224. share/yosys/quicklogic/pp3/abc9_map.v
  225. share/yosys/quicklogic/pp3/abc9_model.v
  226. share/yosys/quicklogic/pp3/abc9_unmap.v
  227. share/yosys/quicklogic/pp3/cells_map.v
  228. share/yosys/quicklogic/pp3/cells_sim.v
  229. share/yosys/quicklogic/pp3/ffs_map.v
  230. share/yosys/quicklogic/pp3/latches_map.v
  231. share/yosys/quicklogic/pp3/lut_map.v
  232. share/yosys/quicklogic/qlf_k6n10f/TDP18K_FIFO.v
  233. share/yosys/quicklogic/qlf_k6n10f/arith_map.v
  234. share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v
  235. share/yosys/quicklogic/qlf_k6n10f/brams_map.v
  236. share/yosys/quicklogic/qlf_k6n10f/brams_sim.v
  237. share/yosys/quicklogic/qlf_k6n10f/cells_sim.v
  238. share/yosys/quicklogic/qlf_k6n10f/dsp_final_map.v
  239. share/yosys/quicklogic/qlf_k6n10f/dsp_map.v
  240. share/yosys/quicklogic/qlf_k6n10f/dsp_sim.v
  241. share/yosys/quicklogic/qlf_k6n10f/ffs_map.v
  242. share/yosys/quicklogic/qlf_k6n10f/libmap_brams.txt
  243. share/yosys/quicklogic/qlf_k6n10f/libmap_brams_map.v
  244. share/yosys/quicklogic/qlf_k6n10f/sram1024x18_mem.v
  245. share/yosys/quicklogic/qlf_k6n10f/ufifo_ctl.v
  246. share/yosys/sf2/arith_map.v
  247. share/yosys/sf2/cells_map.v
  248. share/yosys/sf2/cells_sim.v
  249. share/yosys/simcells.v
  250. share/yosys/simlib.v
  251. share/yosys/smtmap.v
  252. share/yosys/techmap.v
  253. share/yosys/xilinx/abc9_model.v
  254. share/yosys/xilinx/arith_map.v
  255. share/yosys/xilinx/brams_defs.vh
  256. share/yosys/xilinx/brams_xc2v.txt
  257. share/yosys/xilinx/brams_xc2v_map.v
  258. share/yosys/xilinx/brams_xc3sda.txt
  259. share/yosys/xilinx/brams_xc3sda_map.v
  260. share/yosys/xilinx/brams_xc4v.txt
  261. share/yosys/xilinx/brams_xc4v_map.v
  262. share/yosys/xilinx/brams_xc5v_map.v
  263. share/yosys/xilinx/brams_xc6v_map.v
  264. share/yosys/xilinx/brams_xcu_map.v
  265. share/yosys/xilinx/brams_xcv.txt
  266. share/yosys/xilinx/brams_xcv_map.v
  267. share/yosys/xilinx/cells_map.v
  268. share/yosys/xilinx/cells_sim.v
  269. share/yosys/xilinx/cells_xtra.v
  270. share/yosys/xilinx/ff_map.v
  271. share/yosys/xilinx/lut_map.v
  272. share/yosys/xilinx/lutrams_xc5v.txt
  273. share/yosys/xilinx/lutrams_xc5v_map.v
  274. share/yosys/xilinx/lutrams_xcu.txt
  275. share/yosys/xilinx/lutrams_xcv.txt
  276. share/yosys/xilinx/lutrams_xcv_map.v
  277. share/yosys/xilinx/mux_map.v
  278. share/yosys/xilinx/urams.txt
  279. share/yosys/xilinx/urams_map.v
  280. share/yosys/xilinx/xc3s_mult_map.v
  281. share/yosys/xilinx/xc3sda_dsp_map.v
  282. share/yosys/xilinx/xc4v_dsp_map.v
  283. share/yosys/xilinx/xc5v_dsp_map.v
  284. share/yosys/xilinx/xc6s_dsp_map.v
  285. share/yosys/xilinx/xc7_dsp_map.v
  286. share/yosys/xilinx/xcu_dsp_map.v
  287. @owner
  288. @group
  289. @mode
Collapse this list.
Dependency lines:
  • yosys>0:cad/yosys
To install the port:
cd /usr/ports/cad/yosys/ && make install clean
To add the package, run one of these commands:
  • pkg install cad/yosys
  • pkg install yosys
NOTE: If this package has multiple flavors (see below), then use one of them instead of the name specified above.
PKGNAME: yosys
Flavors: there is no flavor information for this port.
distinfo:
TIMESTAMP = 1712808116 SHA256 (YosysHQ-yosys-yosys-0.40_GH0.tar.gz) = c1d42ad90d587b587210b40cf3c5584e41e20f656e8630c33b6583322e8b764e SIZE (YosysHQ-yosys-yosys-0.40_GH0.tar.gz) = 2802706

Packages (timestamps in pop-ups are UTC):
yosys
ABIaarch64amd64armv6armv7i386powerpcpowerpc64powerpc64le
FreeBSD:13:latest-0.400.9_1-0.40-0.9_1-
FreeBSD:13:quarterly-0.39--0.39-0.36-
FreeBSD:14:latest-0.40--0.40---
FreeBSD:14:quarterly0.360.39--0.39-0.36-
FreeBSD:15:latest-0.40n/a-n/a-0.37-
FreeBSD:15:quarterly--n/a-n/a---
Dependencies
NOTE: FreshPorts displays only information on required and default dependencies. Optional dependencies are not covered.
Build dependencies:
  1. abc : cad/abc
  2. bash : shells/bash
  3. gawk : lang/gawk
  4. bison : devel/bison
  5. gmake>=4.4.1 : devel/gmake
  6. pkgconf>=1.3.0_1 : devel/pkgconf
  7. python3.9 : lang/python39
Test dependencies:
  1. iverilog : cad/iverilog
  2. python3.9 : lang/python39
Runtime dependencies:
  1. xdot : x11/py-xdot@py39
  2. bash : shells/bash
  3. yices_smt2 : math/yices
  4. python3.9 : lang/python39
Library dependencies:
  1. libffi.so : devel/libffi
  2. libtcmalloc.so : devel/google-perftools
  3. libreadline.so.8 : devel/readline
  4. libtcl86.so : lang/tcl86
This port is required by:
for Build
  1. cad/qflow
  2. cad/yosys-ghdl-plugin
  3. cad/yosys-systemverilog
  4. devel/lattice-ice40-examples-hx1k
  5. devel/lattice-ice40-examples-hx8k
for Run
  1. cad/py-edalize
  2. cad/qflow
  3. cad/symbiyosys
  4. cad/yosys-ghdl-plugin
  5. cad/yosys-systemverilog
  6. devel/lattice-ice40-tools

Configuration Options:
===> The following configuration options are available for yosys-0.40: TCMALLOC=on: Use the tcmalloc memory allocation library ====> Install SAT solvers CVC5=off: CVC SAT Solver YICES=on: Yices SAT Solver Z3=off: Z3 SAT Solver ===> Use 'make config' to modify these settings
Options name:
cad_yosys
USES:
bison compiler:c++11-lang gmake pkgconfig python readline shebangfix tcl
FreshPorts was unable to extract/find any pkg message
Master Sites:
Expand this list (1 items)
Collapse this list.
  1. https://codeload.github.com/YosysHQ/yosys/tar.gz/yosys-0.40?dummy=/
Collapse this list.

There are no commits on branch 2022Q2 for this port