notbugAs an Amazon Associate I earn from qualifying purchases.
Want a good read? Try FreeBSD Mastery: Jails (IT Mastery Book 15)
Want a good monitor light? See my photosAll times are UTC
Ukraine
This referral link gives you 10% off a Fastmail.com account and gives me a discount on my Fastmail account.

Get notified when packages are built

A new feature has been added. FreshPorts already tracks package built by the FreeBSD project. This information is displayed on each port page. You can now get an email when FreshPorts notices a new package is available for something on one of your watch lists. However, you must opt into that. Click on Report Subscriptions on the right, and New Package Notification box, and click on Update.

Finally, under Watch Lists, click on ABI Package Subscriptions to select your ABI (e.g. FreeBSD:14:amd64) & package set (latest/quarterly) combination for a given watch list. This is what FreshPorts will look for.

non port: cad/Makefile

Number of commits found: 275 (showing only 75 on this page)

«  1 | 2 | 3 

Tuesday, 26 May 2009
11:01 garga search for other commits by this committer
The Verilog-Perl library is a building point for Verilog support in the Perl
language. It includes:
* Verilog::Getopt which parses command line options similar to C++ and VCS.
* Verilog::Language which knows the language keywords and parses numbers.
* Verilog::Netlist which builds netlists out of Verilog files. This allows
  easy scripts to determine things such as the hierarchy of modules.
* Verilog::Parser invokes callbacks for language tokens.
* Verilog::Preproc preprocesses the language, and allows reading
  post-processed files right from Perl without temporary files.
* vpassert inserts PLIish warnings and assertions for any simulator.
* vppreproc preprocesses the complete Verilog 2001 and SystemVerilog language.
* vrename renames and cross-references Verilog symbols. Vrename creates Verilog
  cross references and makes it easy to rename signal and module names across
  multiple files. Vrename uses a simple and efficient three step process.
  First, you run vrename to create a list of signals in the design. You then
  edit this list, changing as many symbols as you wish. Vrename is then run a
  second time to apply the changes.

WWW:    http://www.veripool.org/wiki/verilog-perl

PR:             ports/134124
Submitted by:   Otacílio de Araújo Ramos Neto <otacilio.neto at
ee.ufcg.edu.br>
Original commit
Monday, 12 Jan 2009
09:44 stas search for other commits by this committer
- Add port for verilog-mode.el, Emacs Verilog editing mode.

WWW:    http://www.veripool.org/wiki/verilog-mode
Original commit
Wednesday, 31 Dec 2008
12:52 thierry search for other commits by this committer
Adding Gmsh with support of OpenCascade.
Original commit
Sunday, 17 Aug 2008
20:22 db search for other commits by this committer
BasicDSP is an educational tool that makes it easy to experiment with simple
Digital Signal Processing algorithms for audio signals. The input can either
be taken from the sound card, or be a locally generated sine wave, white noise
or impulse signal. The output is fed to the sound card, as well as to a virtual
oscilloscope and spectrum analyzer.
Original commit
Saturday, 3 May 2008
10:05 bms search for other commits by this committer
Add GTKWave 3.1.9 port.
The 3.x train of GTKWave has significantly more new featured and bugfixes,
but would require introducing PORTEPOCH to replace cad/gtkwave.
[Timeout on feedback from cad/gtkwave maintainer.]
Original commit
Saturday, 26 May 2007
19:26 thierry search for other commits by this committer
FreeCAD is an OpenSource CAD/CAE, based on OpenCasCade, QT and Python.

It features some key concepts like macro recording, workbenches, ability to run
as a server and dynamically loadable application extensions and it is designed
to be platform independent.

Warning: FreeCAD is still in ALPHA state and not in shape for end user usage!

<http://juergen-riegel.net/FreeCAD/Docu/>

Suggested by:   Pedro F. Giffuni <giffunip (at) yahoo.com>
Original commit
Sunday, 29 Apr 2007
07:20 hrs search for other commits by this committer
GDT (graphics data text) format translator written in C/C++ that
converts a binary gdsii file to a text format that is compact and
easy to parse.
Original commit
07:17 hrs search for other commits by this committer
p5-GDS2, a Perl module for quickly creating programs to read and/or
write GDS2 files.
Original commit
Saturday, 28 Apr 2007
18:55 hrs search for other commits by this committer
KLayout is a Qt-based GDS2 viewer.
Original commit
17:01 hrs search for other commits by this committer
FindHier is a road-map generator for Magic/CIF/gdsII/PCSTR/GED/TeX.

 ---When you have a large number of or big layout/schematic/TeX files which
 have possibly many top cells made by other people, how can you manage
 those layout/schematic/TeXs?  FH is written for that.  It can be useful
 up to your imagination or shell programming skill.  FH analyses the
 hidden hierarchies of those cells and shows you the hierarchy information.
Original commit
16:56 hrs search for other commits by this committer
GDSreader is a simple Calma (GDSii) parser/printer tool.
Original commit
Monday, 23 Apr 2007
07:33 miwi search for other commits by this committer
2007-04-12 cad/geda-projectmanager: project dead
2007-04-19 audio/xmpeg3: does not work
Original commit
Sunday, 1 Apr 2007
09:05 thierry search for other commits by this committer
A Qt based application for tutorial to Open CASCADE Technology.
Original commit
09:04 thierry search for other commits by this committer
Open CASCADE Technology is a software development platform freely available in
open source. It includes components for 3D surface and solid modeling,
visualization, data exchange and rapid application development.

Open CASCADE Technology can be best applied in development of numerical
simulation software including CAD/CAM/CAE, AEC and GIS, as well as PDM
applications.

BUGS: the module WOK does not work, but the other modules (the most
interesting parts) are OK.
Original commit
Friday, 22 Dec 2006
09:09 miwi search for other commits by this committer
The SystemC Verification (SCV) library is an extension library to SystemC
which adds advanced verification capabilities to SystemC, including
constrained randomization, complex constraint solvers, data-structure
creation, Transaction Level Modeling (TLM), concurrency, and dynamic
resource allocation management.

WWW:    http://www.systemc.org/

PR:             ports/106822
Submitted by:   Peter Johnson
Original commit
Sunday, 5 Nov 2006
20:51 alepulver search for other commits by this committer
The goals of the FreeHDL project are to develop a VHDL simulator that has
a graphical waveform viewer and a source level debugger. It also aims at
VHDL-93 compliancy. The project is at a very early development stage.

WWW: http://www.freehdl.seul.org/

PR:             ports/104634
Submitted by:   lon_kamikaze at gmx.de
Original commit
Saturday, 30 Sep 2006
09:41 stas search for other commits by this committer
Electric is a sophisticated electrical CAD system that can handle
many forms of circuit design, including:
 - Custom IC layout (ASICs)
 - Schematic drawing
 - Hardware description language specifications

Author: Static Free Software & Sun Microsystems, Inc.
WWW:    http://www.staticfreesoft.com/

PR:             ports/100355
Submitted by:   me (stas)
Approved by:    sem (mentor)
Original commit
Tuesday, 1 Aug 2006
20:22 itetcu search for other commits by this committer
This port provides a GUI for two freely available SPICE electronic circuit
simulation engines: GNU-Cap and Ng-Spice.

Current features:
        Import gschem schematic files using gentlist.
        Load and parse circuit description (net list) files.
        Provides a GUI interface for GNU-Cap OP, DC, AC and Transient
                analyses and generates appropriate simulator commands
                based on user input.
        Provides a GUI interface for Ng-Spice DC, AC and Transient
                analyses and generates appropriate simulator commands
                based on user input.
        The raw output may be viewed for any processes initiated by gspiceui.
        Formatting of simulator output so that it may be plotted using gwave.

WWW: http://www.geda.seul.org/tools/gspiceui/index.html

PR:             ports/99357
Submitted by:   Stanislav Sedov <ssedov at mbsd.msk.ru>
Original commit
Saturday, 29 Jul 2006
21:10 itetcu search for other commits by this committer
ADMS is a code generator that converts electrical compact device models
specified in high-level description language into ready-to-compile c code for
the API of spice simulators.

WWW:    http://mot-adms.sourceforge.net/

PR:             ports/101014
Submitted by:   Stanislav Sedov <ssedov at mbsd.msk.ru>
Original commit
Sunday, 16 Jul 2006
03:53 rafan search for other commits by this committer
- gschem -> geda-gschem
- gnetlist -> geda-netlist

PR:             ports/100222, ports/100230
Submitted by:   maintainer
Original commit
Tuesday, 11 Jul 2006
19:34 itetcu search for other commits by this committer
Jspice3 is a circuit simulator developed to meet the needs of researchers
working with superconducting Josephson junction circuits, yet the program
has the flexibility and power to meet the needs of other technologies.

Jspice3 is an adaptation of the Berkeley Spice3f4 program, with added
features. One added feature is a built-in graphical input front end for
schematic capture. While displayed, simulations can be run and data
plotted through this graphical interface.

While not as powerful or as pretty as the Xic graphical interface, it
holds its own in functionality.

A significantly enhanced output plotting capability is provided, and
Jspice3 has enhanced script interpretation capability.

WWW:    http://www.wrcad.com/jspice3.html

PR:             ports/93958
Submitted by:   Pedro F. Giffuni

Pedro can't maintain this port anymore and Stanislav Sedov agree to maintiant
it.
Original commit
Tuesday, 4 Jul 2006
15:31 garga search for other commits by this committer
Various examples for gEDA suite. This includes:

  1) gTAG - USB to JTAG interface
  2) lightning_detector - a lightning detector
  3) RF_Amp - schematics and associated materials for a SPICE model
  4) TwoStageAmp - a two stage amplifier SPICE playpen

WWW: http://www.geda.seul.org

PR:             ports/99564
Submitted by:   Stanislav Sedov <ssedov@mbsd.msk.ru>
Original commit
15:26 garga search for other commits by this committer
Various documentation for gEDA suite, including architecture-related
docs as well as examples of usage and tutorials.

WWW: http://www.geda.seul.org

PR:             ports/99565
Submitted by:   Stanislav Sedov <ssedov@mbsd.msk.ru>
Original commit
Monday, 26 Jun 2006
22:11 pav search for other commits by this committer
The gEDA project manager suite.

WWW: http://www.geda.seul.org

PR:             ports/99481
Submitted by:   Stanislav Sedov <ssedov@mbsd.msk.ru>
Original commit
22:09 pav search for other commits by this committer
Gattrib is gEDA's attribute editor.

WWW: http://www.geda.seul.org

PR:             ports/99480
Submitted by:   Stanislav Sedov <ssedov@mbsd.msk.ru>
Original commit
18:34 pav search for other commits by this committer
TclSpice is an improved version of Berkeley Spice designed to be used with
the Tcl/Tk scripting language. The project is open-source (BSD license)
and based upon the NG-Spice source code base with many improvements

Features and Improvements
 - Fully Tcl scriptable - installs with 'package require spice' statement
 - Hspice syntax (SpicePP).
 - GUI interfaces, various (Tk).
 - SpiceWish (BLT graph widget)
 - BLT (tcl compatible) vectors for storage, manipulation and arithmetic
        upon Spice waveforms.
 - Xspice additions (Georgia Tech).
 - Trigger upon waveform events.
 - Spice 'simulator state' save and restore for rapid 'what-if' simulations
        (no longer need to re-simulate from the beginning each time a
        device value is changed).

Author: Stefan Jones <stefan.jones@multigig.com>
WWW:    http://tclspice.sourceforge.net/

PR:             ports/99399
Submitted by:   Stanislav Sedov <ssedov@mbsd.msk.ru>
Original commit
Monday, 3 Apr 2006
19:57 thierry search for other commits by this committer
Add feappv 2.0, finite Element Analysis Program "personal version".

This is a FEA program used in a classic FEM book. A complete (commercial)
version is available here:

        <http://www.ce.berkeley.edu/~rlt/feap/>

The "personal version" is very limited, but it keeps the same format as
the complete (commercial) version and cad/netgen can produce files for it.

PR:             ports/95210
Submitted by:   Pedro F. Giffuni <giffunip (at) asme.org>
Original commit
Friday, 3 Feb 2006
22:19 thierry search for other commits by this committer
SCOTCH is a software package and libraries for graph, mesh and hypergraph
partitioning, static mapping, and sparse matrix block ordering.

Its purpose of Scotch is to apply graph theory, with a divide and conquer
approach, to scientific computing problems such as graph and mesh partitioning,
static mapping, and sparse matrix ordering, in application domains ranging from
structural mechanics to operating systems or bio-chemistry.

Note: there is an older tarball included in Aster's distfile, but I prefer
a separate distfile from the official site.
Original commit
Thursday, 29 Dec 2005
03:48 edwin search for other commits by this committer
[NEW PORT] cad/gplcver: A Verilog HDL simulator

        GPL Cver is a full 1995 P1364 Verilog standard HDL simulator.
        It also implements some of the 2001 P1364 standard features
        including all three PLI interfaces (tf_, acc_ and vpi_) as
        defined in the 2001 Language Reference Manual (LRM).

        Verilog is the name for both a language for describing
        electronic hardware called a hardware description language
        (HDL) and the name of the program that simulates HDL circuit
        descriptions to verify that described circuits will function
        correctly when the are constructed. Verilog is used only
        for describing digital logic circuits. Other HDLs such as
        Spice are used for describing analog circuits. There is an
        IEEE standard named P1364 that standardizes the Verilog HDL
        and the behavior of Verilog simulators.  Verilog is officially
        defined in the IEEE P1364 Language Reference Manual (LRM)
        that can be purchased from IEEE. There are many good books
        for learning that teach the Verilog HDL and/or that teach
        digital circuit design using Verilog.

        WWW: http://www.pragmatic-c.com/gpl-cver/

PR:             ports/80968
Submitted by:   Ying-Chieh Liao <ijliao@csie.nctu.edu.tw>
Original commit
Sunday, 18 Dec 2005
11:23 lawrance search for other commits by this committer
Add systemc 2.1.v1, a modeling platform for system-level C++ models.

PR:             ports/89987
Submitted by:   Daniel Thiele
Original commit
Thursday, 8 Dec 2005
23:30 thierry search for other commits by this committer
Add Kicad, a software for the creation of electronic schematic
diagrams and printed circuit board artwork.

Kicad is a set of four softwares and a project manager:

    * Eeschema: Schematic entry.
    * Pcbnew: Board editor.
    * Gerbview: GERBER viewer (photoplotter documents).
    * Cvpcb: footprint selector for components used in the circuit design.
    * Kicad: project manager.
Original commit
Saturday, 5 Nov 2005
09:07 kris search for other commits by this committer
Remove expired ports
Original commit
Thursday, 8 Sep 2005
15:34 garga search for other commits by this committer
Move recently added port cad/fig2sxd to a new and more accurate category
graphics, with extra category converters.

Pointed by:     danfe
Approved by:    maintainer
Original commit
12:00 garga search for other commits by this committer
Add fig2sxd 0.13, convert .xfig files to the OpenOffice draw format.

PR:             ports/85794
Submitted by:   Emanuel Haupt <ehaupt@critical.ch>
Original commit
Saturday, 11 Jun 2005
19:53 des search for other commits by this committer
Graphical circuit design and simulation tool.
Original commit
Wednesday, 16 Mar 2005
12:49 barner search for other commits by this committer
Add linux-gid 7.4.9b,
a graphical pre- and post-processor for
numerical simulation programs.

PR:             ports/78383
Submitted by:   Pedro Giffuni
Approved by:    arved (mentor)
Original commit
Friday, 4 Mar 2005
07:36 ijliao search for other commits by this committer
add impact 0.5.3
Dynamic Finite Element Program Suite
Original commit
Sunday, 20 Feb 2005
22:00 thierry search for other commits by this committer
Add brlcad 7.0.4, CSG modelling system from the US Balistic
Research Laboratory.

PR:             76122
Submitted by:   Pedro F. Giffuni
Original commit
Saturday, 22 Jan 2005
16:27 thierry search for other commits by this committer
Add z88 11.0, a compact Finite Element Analysis System.

PR:             75698
Submitted by:   Pedro F. Giffuni.
Original commit
Monday, 15 Nov 2004
22:51 thierry search for other commits by this committer
Add triangle 1.5, a Two-Dimensional Quality Mesh Generator and
Delaunay Triangulator.

Change category from cad to math.

Requested by:   Pedro F. Giffuni
Approved by:    marcus
Original commit
10:37 thierry search for other commits by this committer
Add triangle 1.5, a Two-Dimensional Quality Mesh Generator and
Delaunay Triangulator.
Original commit
Thursday, 26 Aug 2004
09:38 ijliao search for other commits by this committer
add dxf2fig 2.07
DXF to FIG converter
Original commit
Monday, 5 Jul 2004
21:02 pav search for other commits by this committer
Add dinotrace, a mature signal waveform viewer used to debug digital design
simulations.

PR:             ports/68688
Submitted by:   Joachim Strombergson <watchman@ludd.ltu.se>
Original commit
Thursday, 13 May 2004
18:51 hrs search for other commits by this committer
Add cad/alliance, which is a complete set of free CAD tools and
portable libraries for VLSI design.  It includes a VHDL compiler
and simulator, logic synthesis tools, automatic place and route
tools, and portable CMOS libraries.

Approved by:    linimon (mentor)
Original commit
Wednesday, 5 May 2004
19:57 thierry search for other commits by this committer
Add netgen 4.3.1, an automatic 3D tetrahedral mesh generator.
Original commit
Friday, 2 Apr 2004
07:29 kris search for other commits by this committer
Remove category pkg/COMMENT files in favour of a COMMENT variable in the
category makefile.

Submitted by:   Matthew Seaman <m.seaman@infracaninophile.co.uk>
PR:             59651
Original commit
Sunday, 28 Mar 2004
12:07 mr search for other commits by this committer
add qcad-partslib the parts-library for qcad.
Original commit
Saturday, 20 Mar 2004
19:06 mat search for other commits by this committer
Reorder those files
Original commit
Friday, 20 Feb 2004
01:58 ijliao search for other commits by this committer
add fandango 0.2.5
A python scripted 3D CAD application
Original commit
Tuesday, 9 Dec 2003
19:25 glewis search for other commits by this committer
. Remove metis-edf after a repo copy to the math category.

PR:             58178
Submitted by:   Pedro F. Giffuni <giffunip@yahoo.com>
Original commit
Wednesday, 12 Nov 2003
02:01 ijliao search for other commits by this committer
add pythoncad release 10
An open-source CAD package built designed around Python
Original commit
Saturday, 8 Nov 2003
09:23 glewis search for other commits by this committer
. Remove kaskade port after repo copy to math category.

PR:             58178
Submitted by:   Pedro F. Giffuni <giffunip@yahoo.com>
Original commit
09:07 glewis search for other commits by this committer
. Remove felt port now its been repo copied to the science category.

PR:             58178
Submitted by:   Pedro F. Giffuni <giffunip@yahoo.com>
Original commit
Friday, 10 Oct 2003
05:16 edwin search for other commits by this committer
NEW port CAD/admesh

        A tool to analyze STL (stereolitholigraphy) files.

PR:             ports/52997
Submitted by:   Pedro F. Giffuni <giffunip@yahoo.com>
Original commit
Friday, 8 Aug 2003
03:33 kris search for other commits by this committer
As announced on May 6, remove the broken sis port.
Original commit
03:32 kris search for other commits by this committer
As announced on May 6, remove the broken pisces port.
Original commit
Wednesday, 23 Jul 2003
14:21 oliver search for other commits by this committer
Add gmsh 1.45.1, an automatic 3D finite element mesh generator.

PR:             52201
Submitted by:   Pedro Giffuni <giffunip@yahoo.com>
Original commit
11:25 oliver search for other commits by this committer
Add astk-client , graphical interface for Code_Aster (client side).

PR:             54765
Submitted by:   thierry@pompo.net
Original commit
11:20 oliver search for other commits by this committer
Add astk-serveur 1.0.14,
graphical interface for Code_Aster (server
side).

PR:             54764
Submitted by:   thierry@pompo.net
Original commit
08:37 oliver search for other commits by this committer
Add metis-edf 3, meshes partionning tool used by Code_Aster.

PR:             53909
Submitted by:   thierry@pompo.net
Original commit
Sunday, 13 Jul 2003
05:29 maho search for other commits by this committer
Add new port cad/tochnog
tochnog is a free finite element program with many features which is
distributed under GPL. TOCHNOG accepts free format input.
Boundary conditions can be imposed at geometrical entities,
as well as nodes and elements.

PR:             52088
Submitted by:   Pedro F. Giffuni <giffunip@yahoo.com>
Original commit
Tuesday, 20 May 2003
23:03 edwin search for other commits by this committer
NEW port CAD/varkon

        A free CAD system and high level development tool for
        Engineering. It's a very interesting port for some branches
        of engineering.

PR:             ports/52202
Submitted by:   Pedro F. Giffuni <giffunip@yahoo.com>
Original commit
Monday, 5 May 2003
13:06 maho search for other commits by this committer
A Three-Dimensional Structural Finite Element Program

Submitted by     : Pedro F. Giffuni <giffunip@yahoo.com>
Almost writeen by: maho
Original commit
Sunday, 9 Feb 2003
17:11 ijliao search for other commits by this committer
add ziarc 20020729
ZiaRC is a Resistor Calculator
Original commit
Wednesday, 29 Jan 2003
03:45 ijliao search for other commits by this committer
add chipvault 200211
A project organizer for VHDL and Verilog RTL hardware designs
Original commit
Sunday, 19 Jan 2003
00:35 edwin search for other commits by this committer
new port: cad/gwave

        Gwave is a waveform viewer. Its purpose is for viewing
        analog data, such as the output from Spice-like simulations.

PR:             ports/39364
Submitted by:   Duncan Barclay <dmlb@dmlb.org>
Original commit
Wednesday, 13 Nov 2002
20:21 lioux search for other commits by this committer
New port atlc version 4.0.1: A tool to calculate the impedance of
transmission lines

Submitted by:   blackend
Original commit
19:12 lioux search for other commits by this committer
New port cascade version 1.4: A simple tool to analyze noise and
distortion of a RF system

Submitted by:   blackend
Original commit
Thursday, 10 Oct 2002
18:38 ijliao search for other commits by this committer
add geda-utils
gEDA utilities

PR:             43563
Submitted by:   bruno <bruno@mail.tinkerbox.org>
Original commit
18:35 ijliao search for other commits by this committer
add geda-symcheck
gEDA symbol checking utility

PR:             43563
Submitted by:   bruno <bruno@mail.tinkerbox.org>
Original commit
Friday, 6 Sep 2002
09:40 ijliao search for other commits by this committer
add transcalc 0.13
A analysis and synthesis tool for RF and microwave transmission lines
Original commit
Monday, 15 Jul 2002
20:00 ijliao search for other commits by this committer
add gerbv 0.0.9
A Free Gerber Viewer
Original commit
Thursday, 27 Jun 2002
12:01 tg search for other commits by this committer
Remove acs. Its successor, gnucap, is already in the tree.
Original commit
Sunday, 12 May 2002
15:14 ijliao search for other commits by this committer
add gtkwave 2.0.0p1
Electronic Waveform Viewer
Original commit
Thursday, 11 Apr 2002
18:27 ijliao search for other commits by this committer
add qfsm 0.30
A graphical tool for designing finite state machines
Original commit

Number of commits found: 275 (showing only 75 on this page)

«  1 | 2 | 3